欢迎访问
FinFET接班人,全环绕栅极晶体管(GAA)将如何改变半导体行业
2023-04-11 来源:半导体行业观察
5654

关键词: 晶体管 半导体 芯片

针对微芯片行业速度最快、最精密且最具能效的集成电路的争夺战在全球各大制造巨头之间愈演愈烈,这正是芯片制造商为何要将全新的晶体管设计结构集成到其最先进的节点中的原因。台积电、三星和英特尔都已宣布将在未来几年采用目前最受关注的晶体管结构——全环绕栅极晶体管(GAA)。


今天我们就来解读一下这个全环绕栅极晶体管,看看它将给半导体行业带来那些影响?


什么是晶体管?

晶体管作为一种可放大或切换电信号的半导体器件,是现代电子产品的基本组成部分,包括芯片。如今的主流芯片包含了数十亿个晶体管。


晶体管如何工作?

晶体管是组成芯片的基本器件。所有晶体管互连,用作电流开关,通过打开或关闭这些栅极可以允许或阻止电流通过。这意味着每个晶体管可以处于两种不同的状态,存储两个不同的数字,即0和1。

一块芯片中包含数十亿个晶体管,代表着可以存储数十亿个0和1来发送、接收并处理大量数字数据。就像所有开关一样,晶体管需要做好三件事:打开时允许最大电流通过;关闭时不会泄漏电流;尽量提高开关速度以确保实现最佳性能。


全环栅(GAA)纳米片场效应晶体管(FET)是什么?

全环栅(GAA)纳米片场效应晶体管(FET)是一种创新的下一代晶体管器件,已被业界广泛采用,以继续超越5纳米的技术节点和FinFET的逻辑扩展。

虽然全环栅晶体管的研究已经有很多年了,但在不到五年前才提出了第一个基于44/48纳米的CPP(接触多晶硅间距)缩放间距的性能基准。为了充分了解堆叠纳米片全环栅晶体管所提供的优势,重要的是要了解最先进的FinFET所面临的一些挑战,以及多年来推动整个行业创新的趋势。

从历史上看,芯片架构创新一直是由短通道效应(SCE)驱动的,它在实现功率性能面积(PPA)扩展的同时发挥作用。当沟道长度与源极-漏极损耗层处于同一数量级时,就会发生SCE。

多年来,一些创新,如应力技术和高k金属栅极,已经实现了缩放。FinFET是晶体管器件历史上第一次架构上的变化,通过引入三栅极控制来实现缩放,从而使栅极长度缩放再延长几代运行时间。在晶体管器件的历史上,全环栅纳米片FET是第二次采用完全不同的结构。

将FinFET扩展到7nm节点以上会导致sce加剧,促使从三栅极架构向全环栅架构的转变。在半导体工业探索的全环栅架构中,纳米线提供了最好的静电控制,而更宽的纳米片提供了更高的“导通”电流,并比FinFET更好的静电控制。

图1显示了FinFET和GAA纳米片FET的原理图,其中突出显示了两种技术的关键组件。两种技术之间的共同组件包括浅沟槽隔离、源/漏极外延和高k金属栅极;而结构上的差异包括FinFET的三栅极和纳米片的全包围栅极。




为了获得性能上的优势,多个纳米片必须相互堆叠,不像FinFET,一个鳍片组成一个器件。FinFET的沟道厚度是通过光刻法定义的,这限制了由于图形分辨率而产生的缩放,而该沟道厚度(也称为TSi,硅的厚度)是通过外延生长的Si层在外延生长的低浓度锗SiGe层上定义的,在晶圆上提供优越的沟道均匀性,并消除了工艺复杂性。



图2显示了GAA-FET,并强调了在过去几年中经过精心设计和广泛研究的一些关键特征。这些特性包括水平堆叠形成一个器件的离散硅片、填充硅通道之间空间的高k金属栅极、与大块衬底的底部介电隔离、光刻定义的硅片宽度、工艺控制的栅极长度,以及用于栅极到源漏的隔离。

这些GAA纳米片FET的某些方面,如诱导应变以增加空穴迁移率,一直是提高器件整体性能的热门话题,但本文将不涉及。本文还对高功率和低功率器件的多阈值电压(Multi-VT)选项、通道几何形状对器件性能的影响以及全介质隔离的集成和影响等方面进行了综述。


GAA架构晶体管到底有何优势?

传统的平面晶体管(Planar FET)通过降低电压来节省功耗,然而,平面晶体管的短沟道效应限制了电压的继续降低,而FinFET(鳍式场效应晶体管)的出现使得电压得以再次降低,但随着工艺的继续推进,FinFET已经不足以满足需求。于是,GAA(Gate-all-around,环绕栅极)技术应运而生。

典型的GAA形式——GAAFET是(Gate-all-around FETs)采用的是纳米线沟道设计,沟道整个外轮廓都被栅极完全包裹,代表栅极对沟道的控制性更好。相比之下,传统的FinFET 沟道仅3 面被栅极包围。GAAFET 架构的晶体管提供比FinFET 更好的静电特性,可满足某些栅极宽度的需求。这主要表现在同等尺寸结构下,GAA 的沟道控制能力强化,尺寸可以进一步微缩。

不过,三星认为采用纳米线沟道设计不仅复杂,且付出的成本可能也大于收益。因此,三星设计了一种全新的GAA形式——MBCFET(Multi-Bridge-Channel FET,多桥-通道场效应管),采用多层堆叠的纳米片来替代GAAFET中的纳米线。这种纳米片设计已被研究机构IMEC当作FinFET 架构后续产品进行大量研究,并由IBM 与三星和格罗方德合作发展。

三星表示,MBCFET可以在保留所有GAAFET优点的情况下,最小化复杂度。同时,MBCFET的设计可以兼容之前的FinFET技术,可以直接将为FinFET的设计迁移到MBCFET上,在不提升面积的情况下,提升性能。

此外,在制造环节,此技术也具高度可制造性,因为其利用约90% FinFET 制造技术与设备,只需少量修改的光罩即可。

三星在去年就曾对外表示,MBCFET出色的栅极可控性,比三星原本FinFET 技术高出了31%,且纳米片通道宽度可直接图像化改变,设计更有灵活性。

三星的3nm GAA(MBCFET)工艺分为3GAAE (3nm Gate- AlI-Around Early)和3GAAP(3nm Gate- AlI-Around Plus)两个阶段。目前量产的正是3GAAE。

需要指出的是,三星基于GAA技术的3nm制程不同于台积电FinFET架构的3nm制程,所以三星要成功量产3nm GAA制程工艺,也需要新的设计和认证工具。

据了解,三星3nm GAA制程工艺采用了新思科技的Fusion Design Platform平台,来为其GAA 架构的生产流程提供高度优化参考方法。针对三星3nm GAA制程技术的物理设计套件(PDK)早在2019 年5 月就已发布,并在2020年通过了制程技术认证。

新思科技数字设计部总经理Shankar Krishnamoorthy当时曾表示,GAA 晶体管结构象征着制程技术进步的关键转换点,对保持下一波超大规模创新所需的策略至关重要。新思科技与三星战略合作支持提供一流技术和解决方案,确保发展趋势延续,以及为半导体产业提供机会。


台积电与英特尔将在2nm引入GAA技术

一直以来,为了追赶台积电,三星在先进制程的推进上一直都比较激进。相比之下,作为业界龙头的台积电则一直比较稳健。

台积电在3nm制程工艺上并没有选择GAA架构的晶体管,而是依然采用FinFET(鳍式场效应晶体管),因为这将会为台积电带来更好的稳定性,即可以复用之前成熟稳定的技术,同时成本可能也能够得到更好的控制。并且给台积电预留更多的对GAA晶体管架构优化的时间。

根据台积电此前2022年台积电技术论坛上公布的数据显示,其即将量产的依然采用FinFET晶体管架构的N3E(3nm的低成本版)制程工艺,相比前代的5mm制程工艺,性能将提升18%,功耗可降低34%,晶体管密度可提升30%。

从这个数据来看,三星3nm GAA制程工艺的与其前代5nm制程工艺相比所带来的性能提升幅度和功耗降低的幅度都要比台积电(3nm VS. 5nm)更高。

而且,需要指出的是,三星3nm GAA制程工艺的晶体管密度只比其前代5nm制程工艺的晶体管密度仅提升了16%(面积可减少16%)。而台积电3nm的晶体管密度相比其5nm则是提升了30%。也就是说三星3nm GAA制程工艺依靠更少的晶体管密度的提升,达到了更好的性能提升和功耗降低的幅度,显然,这与全新的GAA架构所带来的提升直接相关。

我们从台积电公布的其2nm GAA制程工艺与其3nm的对比数据,也同样能够看到GAA晶体管架构所带来的直接提升。

台积电第一代采用纳米片晶体管(Nanosheet,就是GAA)架构的N2(即2nm)制程相较于其N3E(3nm的低成本版)工艺,在相同功耗下,性能将提升10~15%;而在相同性能下,台积电2nm工艺的功耗将降低23~30%;晶体管密度仅提升了10%。

也就是说台积电2nm GAA制程工艺仅用了10%的晶体管密度的提升,就带来了最多15%的性能提升、最多30%的功耗的降低。已经基本达到了台积电3nm相比5nm的代际提升的幅度,而且后者还是在晶体管密度提升了30%的情况下实现的。这也再度反应了GAA晶体管架构所能够带来的提升。

与台积电一样,英特尔也选择了在Intel 3(相当于台积电3nm制程工艺)继续采用FinFET技术,预计在2023年量产,相比Intel 4可带来每瓦性能上约18%的提升。直到Intel 20A(相当于台积电2nm制程工艺)英特尔才会使用RibbonFET(即GAA)技术,预计将领先台积电在2024年上半年量产,但是具体的细节参数并未公布。



在GAA专利技术布局方面,之前的一份数据显示,2011~2020年期间,全球有31.4%的GAA专利来自台积电,20.6%来自三星。


先进制程晶圆代工市场的竞争正在加剧

一直以来,三星都希望能够在晶圆代工业务上超越台积电,其中对于先进制程的争夺更是成为了双方的焦点。抢先量产更为先进的半导体制程工艺,不仅能够体现自身的技术实力,同时也意味着三星能够为客户更快的生产更先进的芯片,帮助客户更快的在市场竞争当中占据有利的地位。这也使得三星能够凭借抢先量产更先进的制程工艺抢到更多的客户。

比如在2014年底,三星就抢先台积电量产了14nm工艺,而台积电16nm在2015年年中才开始量产。制程工艺上的领先,也使得三星在当时顺利拿下了苹果iPhone 6S系列所采用的苹果A9处理器的超过半数订单。

但是,随后不论是从用户的体验,还是专业的机构的测试都显示,基于三星14nm工艺A9处理器的iPhone 6S在体验与续航表现上都要弱于台积电16nm工艺A处理器的iPhone 6S。

而且在此之后,台积电在10nm-7nm-5nm的量产上均持续领先于三星,这也使得苹果从后续的A10处理器开始全部都交由台积电独家代工。

资料显示,苹果近年来一直是台积电的第一大客户,特别是随着苹果M系列处理器成功,给台积电带来的营收也进一步大幅增长。在台积电2021年总营收当中,来自苹果的营收占比或将达到近26%。

根据TrendForce的数据显示,在今年一季度的晶圆代工市场,台积电的市场份额高达53.6%,而排名第二的三星的市场份额仅有16.3%,份额差距巨大。

因此,对于三星来说,只在最先进的制程工艺技术上领先台积电,才有机会获得苹果这样的头部客户的订单,才有机会在晶圆代工市场获得更高的市场份额,从而实现超越台积电的目标。

而为了实现对台积电的超越,三星在2017年成立了独立的晶圆代工事业部,对于晶圆代工业务的重视程度也提升到了一个新高度,同时也开始持续加大投入。根据此前的资料显示,三星计划在2030年之前投资133万亿韩元(约合1160亿美元),以期成为全球最大的半导体代工企业。

与此同时,台积电去年也宣布在未来三年累计资本开支提升到1000亿美元。

在三星积极追赶台积电的同时,市场也迎来了新的“搅局者”——英特尔。

去年3月,英特尔新任CEO基辛格宣布了IDM 2.0战略,其中关键的一项举措就是重启晶圆代工业务,英特尔还陆续宣布了庞大的产能扩张计划,以及激进的制程工艺路线图。

首先,在产能方面,自去年以来,英特尔陆续宣布投资200美元在美国亚利桑那州建造两座先进制程晶圆厂、200亿美元在美国俄亥俄州建造两座先进制程晶圆厂、30亿美元扩建美国俄勒冈州D1X 晶圆厂、未来10年在欧洲投资800亿欧元(包括投资170亿欧元在德国马德堡建两座先进制程晶圆厂;投资约120亿欧元,将爱尔兰莱克斯利普的晶圆厂的制造空间扩大一倍)等。

今年2月15日,英特尔还宣布以每股53美元的现金收购全球第十大晶圆代工厂——高塔半导体,交易总价值约为54亿美元。英特尔称,此收购大力推进了英特尔的IDM2.0战略,进一步扩大英特尔的制造产能、全球布局及技术组合,以满足前所未有的行业需求。

在先进制程工艺进展方面,去年7月,英特尔就宣布将在2024年上半年量产Intel 20A工艺,并于2025年量产Intel 18A工艺。

随后在今年3月,摩根士丹利投资者大会上,英特尔CEO基辛格回应称,对英特尔IDM 2.0 战略计划非常有信心,且目前英特尔先进制程进展皆超过预期。基辛格强调,Intel 7 制程进入量产并开始增加产能。接下来四代先进制程是由两个团队同时进行研发,一个是负责Intel 4 及改良版Intel 3 制程,另一个团队负责Intel 20A 及18A 制程。根据规划Intel 20A依旧会在2024年上半年量产,而Intel 18A 制程将提前半年在2024年下半年量产。

由于台积电和三星的2nm计划的量产时间都是在2025年,因此,英特尔有望在2024年在先进制程工艺超越台积电和三星。

值得注意的是,去年英特尔就已宣布2024年上半年量产的Intel 20A工艺,将与高通达成合作。今年3月,基辛格也对外表示,未来最先进的工艺都会提供晶圆代工服务,其中Intel 3、Intel 18A 制程都已经找到客户,但具体名单未透露。

根据英特尔对投资人公布的统计数据显示,今年一季度英特尔的晶圆代工业务营收年增175%,是旗下主要业务中,成长幅度最惊人的业务,主要来自思科、亚马逊等30多家客户的订单。

显然,英特尔入局晶圆代工市场,将为本就竞争激烈的先进制程晶圆代工市场带来了新的竞争,台积电、三星也将面临新的挑战。



Baidu
map